Open Access Open Access  Restricted Access Subscription or Fee Access

Design and Implementation of Low Power and High Speed 10T SRAM with High SNM

Anusha Achhina, K Joseph Thanusha, Deepya Yennamaneni

Abstract


The project introduces a low standby power 10T (LP10T) SRAM cell that focuses on achieving high read stability and write-ability while minimizing power consumption. The LP10T SRAM cell utilizes a strong cross-coupled structure that combines a standard inverter with a stacked transistor and a Schmitt-trigger inverter with a double-length pull-up transistor. One of the key advantages of the LP10T SRAM cell is that it separates the read path from the true internal storage nodes, effectively eliminating read-disturbance issues. This separation ensures that the read operation does not interfere with the stored data. In terms of write operation, the LP10T SRAM cell employs a pseudo-differential approach using a write bitline and control signal, supplemented by a write-assist technique. This configuration enhances the write-ability of the cell.To evaluate the performzance of the proposed LP10T SRAM cell, it is compared with several state-of-the-art SRAM cells using the Mentor Graphics tool in a 16-nm CMOS predictive technology model. The evaluation is conducted under harsh manufacturing process conditions, including variations in voltage and temperature, with a supply voltage of 0.8 V. The LP10T SRAM cell demonstrates favorable performance metrics compared to the other SRAM cells. It achieves the third-best read dynamic power and the second-best write dynamic power, with power reductions of 29.69% and 26.87%, respectively, when compared to the conventional 6T SRAM cell. Furthermore, the LP10T SRAM cell minimizes leakage power consumption through its design. It exhibits a leakage power reduction of 37.35% compared to the 6T SRAM cell and a 12.08% reduction compared to the best-studied cells. Overall, the proposed LP10T SRAM cell offers improved read stability and write-ability while significantly reducing power consumption, making it a promising choice for low-power and high-performance SRAM applications.

Keywords


Random Access Memory, Write Word Lines, Static Noise Margins, Bit-interleaving, Error Correction Code

Full Text:

PDF

References


K. Shin, W. Choi, and J. Park, "Half-select free and bit-line sharing 9T SRAM for reliable supply voltage scaling," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 64, no. 8, pp. 2036–2048, Aug. 2017.

S. Ahmad, M. K. Gupta, N. Alam, and M. Hasan, "Low leakage single bitline 9T (SB9T) static random access memory," Microelectron. J., vol. 62, pp. 1–11, Apr. 2017.

K. Cho, J. Park, T. W. Oh, and S. Jung, "One-sided Schmitt-trigger-based 9T SRAM cell for near-threshold operation," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 67, no. 5, pp. 1551–1561, May 2020.

F. Izadinasab and M. Gholipour, "Half-select disturb-free single-ended 9-transistor SRAM cell with bit-interleaving scheme in TMDFET technology," Microelectron. J., vol. 113, Jul. 2021, Art. no. 105100.

S. Pal, S. Bose, W.-H. Ki, and A. Islam, "Characterization of half-select free write assist 9T SRAM cell," IEEE Trans. Electron Devices, vol. 66, no. 11, pp. 4745–4752, Nov. 2019.

Y. He, J. Zhang, X. Wu, X. Si, S. Zhen, and B. Zhang, "A half-select disturb-free 11T SRAM cell with built-in write/read-assist scheme for ultralow-voltage operations," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 27, no. 10, pp. 2344–2353, Oct. 2019.

S. Gupta, K. Gupta, and N. Pandey, "Pentavariate Vmin analysis of a subthreshold 10T SRAM bit cell with variation tolerant write and divided bit-line read," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 65, no. 10, pp. 3326–3337, Oct. 2018.

J. P. Kulkarni and K. Roy, "Ultralow-voltage process-variation-tolerant Schmitt-trigger-based SRAM design," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 20, no. 2, pp. 319–332, Feb. 2012.

R. Lorenzo and R. Pailly, "Single bit-line 11T SRAM cell for low power and improved stability," IET Comput. Digit. Techn., vol. 14, no. 3, pp. 114–121, May 2020.

B. Mohammadi, O. Andersson, J. Nguyen, L. Ciampolini, A. Cathelin, and J. N. Rodrigues, "A 128 kb 7T SRAM using a single-cycle boosting mechanism in 28-nm FD–SOI," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 65, no. 4, pp. 1257–1268, Apr. 2018.

I. J. Chang, J.-J. Kim, S. P. Park, and K. Roy, "A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS," IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 650–658, Feb. 2009.

E. Abbasian and M. Gholipour, "Single-ended half-select disturb-free 11T static random access memory cell for reliable and low power applications," Int. J. Circuit Theory Appl., vol. 49, no. 4, pp. 970–989, Apr. 2021.


Refbacks

  • There are currently no refbacks.


Copyright (c) 2023 Journal of Microcontroller Engineering and Applications