Open Access Open Access  Restricted Access Subscription or Fee Access

Characterization of a Single-Ended Schmitt-Trigger based 9T SRAM Cell

S R Mansore, R S Gamad, D K Mishra

Abstract


Data stability is a prime concern in submicron regime. This work presents a single-ended Schmitt- trigger (ST) based 9T static random-access memory (SRAM) cell. Proposed design provides enhanced read stability as it uses ST based inverters. Low power dissipation is achieved by employing just a single bit line. Simulation is carried out on gpdk 180nm CMOS technology on Cadence. Proposed design offers 1.36x improvement in read static noise margin (RSNM) over 6T cell at 0.8V. Proposed topology dissipates 1.49x lesser static power compared to the convention 6T cell at 0.8V.


Keywords


HSNM; RSNM; SRAM; WSNM

Full Text:

PDF

References


1. Sung-Mo Kang, Yusuf Leblebici. CMOS Digital Integrated Circuits Analysis and Design. 3rd Edn. New Delhi: TMH; 2003.

Yadav Nandkishore, Shah Ambika Prasad, et al. Stable Reliable and Bit-Interleaving 12T SRAM for Space Applications: A Device Circuit Co-Design. IEEE Trans on semiconductor manufacturing. 2017; 30(3): 276-286p.

Sridhara SR, et al. Microwatt Embedded Processor Plateform for Medical System-on-Chip Applications. IEEE J Solid State Circuits. 2011; 46(4): 721–730p.

Calhoun Benton Highsmith and Chandrakasan Anantha P. A 256-kb 65-nm subthreshold sram design for ultra-low-voltage operation. IEEE journal of solid-state circuits. 2007; 42(3): 680–688p.

Pal S, Islam A. Variant Tolerant Differential 8T SRAM Cell for Ultralow Power Applications. IEEE Trans Comput Aided des Integr Circuits Syst. 2016; 35(4): 549–558p.

Mansore SR, Gamad RS, Mishra DK. A Single-Ended Read Decoupled 9T SRAM Cell for Low Power Applications. International Symposium on Nanoelectronic and Information Systems; 2017 Dec 18-20; Bhopal IEEE 2017.

Kulkarni JP, Kim K, et al. A 160 mV Robust Schmitt Trigger Based Sub-Threshold SRAM. IEEE J Solid State Circuits. 2007; 42(10): 2303–2313p.

Kulkarni JP and Roy K. Ultralow-voltage process-variation-tolerant Schmitt-trigger-based SRAM design. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2012; 20 (2): 319–332p.

Ahmad S, Gupta MK, et al. Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell. IEEE Trans Very Large Scale Integr (VLSI) Syst. 2016; 24(8): 2634–2642p.

Mansore SR, Gamad RS, Mishra DK. Schmitt-Trigger Based 12T SRAM Cell with Enhanced Stability. Journal of Semiconductor Devices and Circuits. 2018; 5(1): 5–10p.

Seevinck E, List FJ, Lohstroh J. Static Noise Margin Analysis of MOS SRAM Cells. IEEE J Solid State Circuits. 1987; 22(5): 748–754p.

Pal S, Islam A. Variant Tolerant Differential 8T SRAM Cell for Ultralow Power Applications. IEEE Trans Comput Aided Des Integr Circuits Syst. 2016; 35(4): 549–558p.

Wen L, Duan Z, Li YI, et al. Analysis of Read Disturb-Free 9T SRAM Cell with Bit Interleaving Capability. Microelectronics J. 2014; 45: 815–824p.

Mansore SR, Gamad RS, Mishra DK. Design of a single-ended 8T SRAM cell for low power applications. In: Verma S., Tomar R., Chaurasia B., Singh V., Abawajy J. (eds) Communication, Networks and Computing CNC 2018. Communications in Computer and Information Science; Springer, Vol. 839; 2018 March 22-24; Singapore 2018; 499-508p.

Tu MH, Lin JY, et al. A single-ended disturb-free 9T sub-threshold SRAM with cross-point data-aware write word-line structure, negative bit-line and adaptive read operation timing tracing. IEEE J Solid State Circuits. 2012; 47(6): 1469-1482p.

Mansore SR, Gamad RS, Mishra DK. A single-ended read disturb-free PPN based 9T SRAM Cell. Rev. Roum. Sci. Techn. – Électrotechn. et Énerg. 2018; 63(3): 295-299p.

Islam A and Hasan M. Leakage characterization of 10T SRAM cell? IEEE Trans. Electron Devices. 2012; 59 (3): 631–638p.

Mansore SR, Gamad RS. A data-aware write-assist 10T SRAM cell with bit-interleaving capability. Turkish Journal of Electrical Engineering & Computer Sciences. 2018; 26 (5): 2361-2373p.

Cite this Article

S.R. Mansore, R.S. Gamad, D.K. Mishra. Characterization of a Single-Ended Schmitt-Trigger based 9T SRAM Cell. Journal of Microelectronics and Solid-State Devices. 2019; 6(2): 26–31p




DOI: https://doi.org/10.37591/jomsd.v6i2.2712

Refbacks

  • There are currently no refbacks.


Copyright (c) 2019 Journal of Microelectronics and Solid State Devices