Open Access Open Access  Restricted Access Subscription or Fee Access

A Reliable Low Standby Power 6T SRAM Cell

K Joseph Thanusha, Anusha Achhina, Dedeepya Padakanti

Abstract


The project introduces a low standby power 10T (LP10T) SRAM cell that focuses on achieving high read stability and write-ability while minimizing power consumption. The LP10T SRAM cell uses a robust cross-coupled design that combines a Schmitt-trigger inverter with a double-length pull-up transistor and a regular inverter with a stacking transistor. One of the key advantages of the LP10T SRAM cell is that it separates the read path from the true internal storage nodes, effectively eliminating read-disturbance issues. This distinction guarantees that the read operation won't will not affect the data that has been stored. In terms of write operation, the LP10T SRAM cell employs a pseudo-differential approach using a write bitline and control signal, supplemented by a write-assist technique. This configuration enhances the write-ability of the cell. To evaluate the performance of the proposed LP10T SRAM cell, it is compared with several state-of-the-art SRAM cells using the Mentor Graphics tool in a 16-nm CMOS predictive technology model. The evaluation is conducted under harsh manufacturing process conditions, including variations in voltage and temperature, with a supply voltage of 0.8 8 V. The LP10T SRAM cell demonstrates favourable performance metrics compared to the other SRAM cells. It achieves the third-best read dynamic power and the second-best write dynamic power, with power reductions of 29.69% and 26.87%, respectively, in comparison to the standard 6T SRAM cell. Furthermore, the LP10T SRAM cell minimizes leakage power consumption through its design. It exhibits a leakage power reduction of 37.35% compared to the 6T SRAM cell and a 12.08% reduction compared to the best-studied cells. Overall, the proposed LP10T SRAM cell offers improved read stability and write-ability while significantly reducing power consumption, making it a promising choice for low-power and high-performance SRAM applications.

Keywords


Static Random Access Memory, Write Word Lines, Static Noise Margins, Bit interleaving, Error Correction Code

Full Text:

PDF

References


Lorenzo R, Pailly R. Single bit-line 11T SRAM cell for low power and improved stability. IET Comput Digit Techn. 2020 May; 14(3): 114–121.

He Y, Zhang J, Wu X, Si X, Zhen S, Zhang B. A half-select disturb-free 11T SRAM cell with built-in write/read-assist scheme for ultralow-voltage operations. IEEE Trans Very Large Scale Integr (VLSI) Syst. 2019 Oct; 27(10): 2344–2353.

Kulkarni JP, Roy K. Ultralow-voltage process-variation-tolerant Schmitt-trigger-based SRAM design. IEEE Trans Very Large Scale Integr (VLSI) Syst. 2012 Feb; 20(2): 319–332.

Gupta S, Gupta K, Pandey N. Pentavariate Vmin analysis of a subthreshold 10T SRAM bit cell with variation tolerant write and divided bit-line read. IEEE Trans Circuits Syst I, Reg Papers. 2018 Oct; 65(10): 3326–3337.

Mohammadi B, Andersson O, Nguyen J, Ciampolini L, Cathelin A, Rodrigues JN. A 128 kb 7T SRAM using a single-cycle boosting mechanism in 28-nm FD–SOI. IEEE Trans Circuits Syst I, Reg Papers. 2018 Apr; 65(4): 1257–1268.

Chang IJ, Kim J-J, Park SP, Roy K. A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE J Solid-State Circuits. 2009 Feb; 44(2):

–658.

Ahmad S, Gupta MK, Alam N, Hasan M. Low leakage single bitline 9T (SB9T) static random access memory. Microelectron J. 2017 Apr; 62: 1–11.

Pal S, Bose S, Ki W-H, Islam A. Characterization of half-select free write assist 9T SRAM cell. IEEE Trans Electron Devices. 2019 Nov; 66(11): 4745–4752.

Izadinasab F, Gholipour M. Half-select disturb-free single-ended 9-transistor SRAM cell with bit-interleaving scheme in TMDFET technology. Microelectron J. 2021 Jul; 113: 105100.

Cho K, Park J, Oh TW, Jung S. One-sided Schmitt-trigger-based 9T SRAM cell for near-threshold operation. IEEE Trans Circuits Syst I, Reg Papers. 2020 May; 67(5): 1551–1561.

Abbasian E, Gholipour M. Single-ended half-select disturb-free 11T static random access memory cell for reliable and low power applications. Int J Circuit Theory Appl. 2021 Apr; 49(4): 970–989.

Shin K, Choi W, Park J. Half-select free and bit-line sharing 9T SRAM for reliable supply voltage scaling. IEEE Trans Circuits Syst I, Reg Papers. 2017 Aug; 64(8): 2036–2048.


Refbacks

  • There are currently no refbacks.


Copyright (c) 2023 Journal of VLSI Design Tools & Technology



eISSN: 2249–474X