Open Access Open Access  Restricted Access Subscription or Fee Access

Survey on Built in Self-Test (BIST) for FPGA Application

M. Fatima, Shubham Sahu

Abstract


The integration of Field- Programmable Gate Arrays (FPGAs) in various applications has surged in recent years due to their flexibility and reconfigurability. However, ensuring the reliability and functionality of these FPGAs is a critical concern. Built-in Self-Test (BIST) techniques have emerged as a promising solution to address this challenge by providing on-chip testing capabilities. This survey explores the landscape of BIST methodologies specifically tailored for FPGA applications. The study delves into various BIST approaches, including deterministic and probabilistic techniques, highlighting their advantages, limitations, and suitability for different FPGA architectures. Additionally, the survey investigates the impact of BIST on area
overhead, power consumption, and test coverage.


Keywords


BIST, FPGA, Power, Testing, CPU, Very Large Scale Integrated.

Full Text:

PDF

References


M. Mukherjee, G. R S and R. Bhakthavatchalu, "A Proposal for

Design and Implementation of a Low Power Test Pattern Generator for BIST Applications," 2022 Second International Conference on Artificial Intelligence and Smart Energy (ICAIS), Coimbatore, India, 2022, pp. 1520-1524, doi: 10.1109/ICAIS53314.2022.9742920.

K. Akhila, N. Karuna and Y. J. M. Shirur, & Design and Implementation of Power Efficient Logic BIST With High Fault Coverage Using Verilog, 2021 International Conference on Networking, Embedded and Wireless Systems (ICNEWS), Bangalore, India, 2021, pp. 1-6.

A. K. S. Pundir and O. P. Sharma, Fault tolerant reconfigurable hardware design using BIST on SRAM: A review, 2020 International Conference on Intelligent Computing and Control (I2C2), Coimbatore, 2020, pp. 1-16.

T. Koshy and C. S. Arun, & Diagnostic data detection of faults in RAM using different march algorithms with BIST scheme,& 2016 International Conference on Emerging Technological Trends (ICETT), Kollam, 2016, pp. 1-6.

S. Kostin, R. Ubar, M. Gorev and G. Mägi, "Comparison of two approaches to improve functional BIST fault coverage,& 2014 14th Biennial Baltic Electronic Conference (BEC), Tallinn, 2014, pp. 105-108.

N. Das, P. Roy and H. Rahaman, & Built-in-self-test technique for diagnosis of delay faults in cluster-based field programmable gate arrays, & in IET Computers & Digital Techniques, vol. 7, no. 5, pp. 210-220, September 2013.

M. H. Husin, S. Y. Leong, M. F. M. Sabri and R. Nordiana, "Built in self test for RAM using VHDL," 2012 IEEE Colloquium on Humanities, Science and Engineering (CHUSER), Kota Kinabalu,

, pp. 272-276.

P. Manikandan, B. B. Larsen and E. J. Aas, & Experiments with ABIST test methodology applied to path delay fault testing,& 2010 East-West Design & Test Symposium (EWDTS), St. Petersburg, 2010, pp. 59-63.

W. Kim, S. Cha and L. Milor, & Memory BIST for on-chip monitoring of

resistive-open defects due to electromigration and stress-induced

voiding in an SRAM array, & Design of Circuits and Integrated Systems, Madrid, 2014, pp. 1-6.

G. Devi Prasanna, P. Abinaya and J. Poornimasre, "Non-intrusive bit swapping pattern generator for BIST testing of LUTs," International Conference on Information Communication and Embedded Systems (ICICES2014), Chennai, 2014, pp. 1-4.

G. Harutyunyan, S. Shoukourian, V. Vardanian and Y. Zorian, & Extending fault periodicity table for testing faults in memories under 20nm, Proceedings of IEEE East-West Design & Test Symposium (EWDTS 2014), Kiev, 2014, pp. 1-4.

S. Kostin, R. Ubar, M. Gorev and G. Mägi, "Comparison of two approaches to improve functional BIST fault coverage," 2014 14th Biennial Baltic Electronic Conference (BEC), Tallinn, 2014, pp. 105-108.




DOI: https://doi.org/10.37591/jovdtt.v13i3.7827

Refbacks

  • There are currently no refbacks.


Copyright (c) 2024 Journal of VLSI Design Tools & Technology



eISSN: 2249–474X