Open Access Open Access  Restricted Access Subscription or Fee Access

Coverage Driven Verification of Synchronous FIFO Using UVM

C. Anusha Bhanu, Rajesh Odela, G. R. Padmini

Abstract


FIFO(first in first out) is a memory array in which the data written first into the memory will be read first with the help of the control signals read and write. Where the control signal write is used to write the data into the memory and the control signal read is used to read the data from the memory. To avoid the memory overflow and to know that whether there is a space in memory to write a few status signals  are asserted, which are empty and full. The empty signal is high which means that all the memory location is the FIFO is empty i.e. no data written into it and the full signal is high then all the memory location in the FIFO occupied with some data. The FIFO has been designed with a depth of 64 locations and the size of each location is 16-bit and verify it with the help of System Verilog based UVM(i.e universal verification methodology).Coverage driven verification is being used to verify the design functional accuracy. Constrained randomization has been used to attain the maximum amount of coverage (i.e both code coverage and functional coverage). Code coverage of 99.43% and functional coverage of 100% has been achieved.


Keywords


Terms-Synchronous FIFO, Design and verification, Code coverage, and functional Coverage

Full Text:

PDF

References


Akhare Mohini, Narkhede Nitin Design and Verification of Generic FIFO using Layered Test bench and Assertion Technique. International Journal of Engineering and Advanced Technology (IJEAT).2019;8(6): 5254- 5260.

Vinoth Nagarajan. The Design and Verification of a Synchronous First-In-First-Out (FIFO) Module Using System Verilog Based Universal Verification Methodology (UVM).[ Master's Project].New York: Rochester Institute of Technology; 12-2018.

Morris Mano,Emeritus, Michael D,. Digital Design With an Introduction to the Verilog HDL,M.5th ed. Pearson Education India; Utter Pradesh:1 January 2013

Amit Kumar,Shankar, Neeraj Sharma, Verification of Asynchronous FIFO using System.International Journal of Computer Applications .2014;86(11):0975 – 8887.

Bergeron Janick, Writing Testbenches Using SystemVerilog. Springer; Berlin, Germany:22 March 2006

Samir Palnitkar. Verilog HDL A guide to Digital Design and Synthesis.2nd ed. Prentice Hall PTR; Hoboken, New Jersey: February 21, 2003

Bergeron, Janick, Writing testbenches: functional verification of HDL models. Springer, Germany:2003.

Maurya Shilpa, Design of RTL Synthesizable 32-Bit FIFO Memory. International Journal of Engineering Research & Technology (IJERT)2016;5(11): 591-593.

Mehta Ashok B., System Verilog Assertions and Functional Coverage.2nd ed. Springer; Verlag New York: 6 August 2013

Navaid Zafar Rizvi, Rajat Arora, Implementation and Verification of Synchronous FIFO using System Verilog Verification Methodology. Journal of Communications Technology, Electronics and Computer Science. 2015;2: 18.


Refbacks

  • There are currently no refbacks.


Copyright (c) 2021 Recent Trends in Electronics and Communication Systems