Open Access Open Access  Restricted Access Subscription or Fee Access

Power Estimation of MAC Block for Artix 7 FPGA using Regression Technique

Priya Bamne, Dr. Ravindra V. Kshirsagar

Abstract


This paper presents the power estimation approach using suitable machine learning technique. Artix-7 FPGA has been chosen as target FPGA (Field Programmable Gate Arrays) platform for understanding the methodology of power estimation. There are various approaches of power estimation for FPGAs have been given in the literature viz. probabilistic, statistical, and LUT based etc. However, this paper discussed a supervised machine learning approach namely curve fitting and regression analysis. The approach formulates the power estimation model based on the resource estimation of the given design from the commercial tool.The findings produced using the suggested models are consistent with the power levels acquired using a commercial instrument. The MAC unit's average percentage inaccuracy is merely 5.54 percent. Other classifiers might be used to further enhance the power estimation model in the future.


Keywords


FPGA,Power Dissipiation,Static Power ,Dynamic Power,Matlabr ,ASICS

Full Text:

PDF

References


A. Abdollahi, F. Fallah, and M. Pedram. “Runtime Mechanisms for Leakage Current Reduction in CMOS VLSI Circuits", ACM/IEEE International Symposium on LowPower Electronics and Design, pp. 213-218, August 2002.

K. K. W. Poon, S. J. E. Wilton, and A. Yan, “A detailed power model for fieldprogrammable gate arrays”, ACM Transaction on Design Automation of Electronic Systems, vol. 10, no. 2, pp. 279-302, April 2005.

S. F. Johann, M.T. Moreira, L. S. Heck, N. L.V. Calazans, F. P. Hessel “A processor for IoT applications: an assessment of design space and trade-offs”, Microprocessor and Microsystems, vol. 42, pp.156–164, May 2015.

A.M. Ortiz, D. Hussein, S. Park, S.N. Han, N. Crespi, “The cluster between internet of things and social networks: review and research challenges”, IEEE Internet Things J. 1, vol. 1, no. 3, pp. 206–215, April 2014.

D. Navarro, Ó. Lucı, L.A. Barragán, “High-level synthesis for accelerating the FPGA implementation of computationally demanding control algorithms for power converters”, IEEE Transaction on Industrial Informatics , vol. 9, no. 3, pp. 1371–1379, August 2013.

J. Lorandel, J. C. Prevotet, M. Helard, “Fast power and performance evaluation of FPGA-based wireless communication systems”, IEEE Access, vol. 4, pp. 1-14, April 2016.

G. Verma, S. Shekhar, O. M. Srivastava, S. Maheshwari and S. K. Virdi, "Low power & high performance implementation of multiplier architectures," 2016 3rd International Conference on Computing for Sustainable Global Development (INDIACom), 2016, pp. 1989-1992.

A. Canis, “LegUp: High-level synthesis for FPGA-based processor/accelerator systems”, in proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays, pp. 33–36, March 2011.

G. Verma, S. Shekhar, S. Maheshwari, S. K. Virdi and O. M. Srivastava, "MATLAB based FPGA power validation utility," 2016 3rd International Conference on Computing for Sustainable Global Development (INDIACom), 2016, pp. 1993-1996.

S. Mars, A. E. Mourabit, A. Moussa, Z. Asrih, “High-level performance estimation of image processing design using FPGA”, in proceeding of International Conference on Electrical and Information Technologies, pp. 543–546, May 2016.

S. Shekhar, M. Payal, J. srivastava, M. Jaiswal and P. Raj, "A 100 V Lateral Trench Power MOSFET on InGaAs/InP," 2018 3rd International Conference On Internet of Things: Smart Innovation and Usages (IoT-SIU), 2018, pp. 1-4, doi: 10.1109/IoT-SIU.2018.8519892.

N. C. K. Choy, S. J. E. Wilton, “Activity Based Power Estimation and Characterization of DSP and Multiplier Blocks in FPGAs”, in proceedings of FPT, pp. 253–256, January 2007.

D. Elleouet, Julien N. Julien, D. Houzet, J. G. Cousin, and E. Martin, “Power Consumption Characterization and Modeling of Embedded Memories in Xilinx Virtex 400E FPGA”, in proceedings of the EUROMICRO Systems on Digital System Design, pp. 394–401, September 2004.

A. Amira, S. Chandrasekaran, “Power Modeling and Efficient FPGA Implementation of FHT for Signal Processing”, IEEE Transactions on VLSI Systems, vol. 15, no. 3, pp. 286- 297, April 2007.

D. Elleouet, N. Julien, and D. Houzet, “A high level SoC power estimation based on IP modeling,” in proceedings of International Parallel Distributed Process Symposium (IPDPS), pp. 1-4, June 2006.

S. Chandrasekaran, A. Amira, “A new behavioural power modelling approach for FPGA based custom cores,” in proceedings of NASA/ESA Conference on Adaptive Hardware System (AHS), pp. 350-357, August 2007..

G.Y. Yacoub, and W.H.Ku, “An accurate simulation technique for short-circuit power dissipation”, Proceedings of the International Symposium on Circuits and Systems, 1989, pp. 1157-1161.

S. Shekhar, R. Raj, A. Kumar, G. Verma and P. Raj, "Processor Specific Green Counter Based on HSTL IO Standards Design on 90nm FPGA," 2018 3rd International Conference On Internet of Things: Smart Innovation and Usages (IoT-SIU), 2018, pp. 1-5, doi: 10.1109/IoT-SIU.2018.8519883.

Y. A. Durrani, T. Riesgo, and F. Machado, “Power estimation for register transfer level by genetic algorithm”, Proceedings for International Conference on Informatics in Control Automation and Robotics, 2006, pp.527-530.

S. K. Virdi, S. Shekhar, G. Verma, S. Maheshwari and O. M. Srivastava, "Implementation of crossbar switch for NOC on FPGA," 2016 3rd International Conference on Computing for Sustainable Global Development (INDIACom), 2016, pp. 2087-2091.

X. Liu, and M.C. Papaefthymiou, “HyPE: Hybrid power estimation for IP-Based Systems-on-Chip”, Proceedings for IEEE Trans on CAD of integrated circuits and systems, Vol. 24, No.7, 2005, pp. 1089-1103.

T.Jiang,X.Tang, and P.Banerjee ”Macro-models for high level area and power estimation on FPGA”, Int.J.Simulation and Process Modelling,vol 2,No.1/2, 2006,pp.12-19.

G.Bernacchia, and M.C.Papaefthymiou,”Analytical Macromodelling for High Level Power Estimation”, IEEE ACM International Conference on Computer Aided Design, 1999, pp. 280-283.

L.Shang and N.K.Jha, “High Level Power Modeling of CPLDs and FPGA”, Proceedings Of International Conference on Computer Design, ICCD, 2001,pp. 46 – 51.


Refbacks

  • There are currently no refbacks.


Copyright (c) 2022 Journal of Microcontroller Engineering and Applications