Open Access Open Access  Restricted Access Subscription or Fee Access

Implementation and Verification of Dual Port RAM for High-speed Applications

Deepti Kakarla, Shiva Teja K., Shravani A., Sneha M.

Abstract


It is important to have an appropriate medium for transmitting data among parallel running processes in multi-processor-based applications. Multi-processor systems are used to handle complex tasks that require a lot of processing power, and they rely on parallel running processes to achieve this. However, one of the major limitations of these systems is the slow transmission of data among parallel running processes. This is due to the fact that in a single port RAM, only a single address from the memory can be accessed at a particular time during each clock pulse. This limitation can significantly reduce the efficiency of the multi-processor system. To overcome this limitation, the use of dual port RAM is recommended. Dual port RAM allows for simultaneous access of different addresses in the memory during each clock pulse, and it also supports the simultaneous access of read or write or both at a time with different addresses in the memory during each clock pulse. This means that multiple processes can access different addresses of the memory at the same time, which leads to faster and more efficient transmission of data among parallel running processes. The usage of dual port RAM is important in multi-processor-based applications as it helps to enhance the efficiency of the system by allowing for faster and more efficient transmission of data among parallel running processes.


Keywords


Dual-port RAM, Burst Mode, Data integrity check

Full Text:

PDF

References


Batlle J, Martı J, Ridao P, Amat J. A new FPGA/DSP-based parallel architecture for real-time image processing. Real-Time Imaging. Oct 2002; 8(5): 345–356.

Zabołotny WM. Dual port memory based heapsort implementation for FPGA. Photonics Applications in Astronomy, Communications, Industry, and High-Energy Physics Experiments. SPIE. Oct 2011; 8008: 117–125.

Das K, Sadhu A, De D, Das JC. Design and simulation of priority based dual port memory in quantum dot cellular automata. Microprocessors and Microsystems. Sep 2019; 69: 118–137.

Karadeniz T. Hardware design and implementation of a network-on-chip based high performance crossbar switch fabric. Mekelweg. Jul 2010; 4: 2628.

Li X, Wang X, Liu F, Xu H. DHL: Enabling flexible software network functions with FPGA acceleration. 2018 IEEE 38th International Conference on Distributed Computing Systems (ICDCS). Jul 2018. pp. 1–11.

Mohan Dass MS. Design and Verification of a Dual Port RAM Using UVM Methodology. Thesis. New York: Rochester Institute of Technology; 2018.

Patil CV, Suma MS. An alternative test method for the dual-port SRAM through the DesignWare SATA AHCI implementation in 3D IC structures. Eng Res Express. 2022 Jan 14; 4(1): 015005.

Nayak RJ, Chavda JB. Comparison of accelerator coherency port (ACP) and high performance port (HP) for data transfer in DDR memory Using xilinx ZYNQ SoC. In Information and Communication Technology for Intelligent Systems (ICTIS 2017). 2018; 1: 94–102. Springer International Publishing.

Bazes M, Nadir JA, Perlmutter DA, Mantel BE, Zak OM. A programmable NMOS DRAM controller for microcomputer systems with dual-port memory and error checking and correction. IEEE J Solid-State Circuits. 1983 Apr; 18(2): 164–72.

Hansen SG, Koch D, Torresen J. High speed partial run-time reconfiguration using enhanced ICAP hard macro. In 2011 IEEE International Symposium on Parallel and Distributed Processing Workshops and PhD Forum. 2011 May 16; 174–180.


Refbacks

  • There are currently no refbacks.


Copyright (c) 2023 Journal of Electronic Design Technology