Open Access Open Access  Restricted Access Subscription or Fee Access

Built in Self Repair for Embedded Memories: A Survey

Jyotika ., Balwinder Singh, D. K. Jain

Abstract


Memory is a significant part of every single computing system. In SOC (System-on-a-chip), 90 to 92% of the total chip zone is enclosed by embedded memories (ITRS 2009) and that means memory density is higher than the logic density. Consequently testing and diagnosis of memories are imperative issues in the SOCs. Revenue of memory is pretentious by the accountabilities present in memory which also distresses the yield of SOC. Built in self-repair procedures are used to restore the embedded memories. Built in self-repair procedures are used for the improved yield of the system by using numerous skills like 1-D Redundancy and 2-D Redundancy. Test, redundancy analysis, repair delivery are the three elementary paces for the memory repair. A built in redundancy algorithms (BIRA) are used to contrivance built in self-repair (BISR).


Full Text:

PDF

References


Benso, Alfredo, et al. An On-line BIST

RAM Architecture with Self-Repair

Capabilities. IEEE Tran. Rel. 2002; 51(1):

–128p.

S-Y Kuo, WK Fuchs. Efficient Spare

Allocation in Reconfigurable Arrays.

IEEE Des Test Comput. 1987; 4(1): 24–

p.

J Day. A Fault-Driven Comprehensive

Redundancy Algorithm. IEEE Des Test

Comput. 1985; 2(3): 35–44p.

P Ravinder, N Uma Rani. Design and

Implementation of Built-in-Self Test and

Repair”, Int J Eng Res Appl. 1(3): 778–

p.

K Bala Souri, K Hima Bindu, KV Ramana

Rao. A Built-in-Self-Repair Scheme for

Random Access Memories with 2-D

Redundancy. International Journal of Soft

Computing and Engineering (IJSCE). Nov

; 1.

Jin-Fu Li, Jen-Chieh Yeh, Rei-Fu Huang,

et al. A Built-In Self-Repair Design for

RAMs With 2-D Redundancy. IEEE Trans

VLSI Syst. Jun 2005; 13(6).

S-K Lu, Y-C Tsai, C-H Hsu, et al.

Efficient Built-In Redundancy Analysis

for Embedded Memories with 2-D

Redundancy. IEEE Trans VLSI Syst. 2006;

(1): 34–42p.

Journal of Microelectronics and Solid State Devices

Volume 2, Issue 1

JoMSD (2015) 18-22 © STM Journals 2015. All Rights Reserved Page 22

W Jeong, I Kang, K Jin, et al. A Fast Built-in Redundancy Analysis for Memories with Optimal Repair Rate Using a Line-Based Search Tree. IEEE Trans VLSI Syst. 2009; 17(12): 1665–1678p.

Joohwan Lee, Kihyun Park, Sungho Kang. High-Efficiency BIRA for Embedded Memories with a High Repair Rate and Low Area Overhead. J Semiconductor Technology and Science. Sep 2012; 12(3).

A Allan et al. 2001 Technology Roadmap for Semiconductors. Computer. Jan 2002; 35(1): 42–53p.

I Kim, Y Zorian, G Komoriya, et al. Built in Self Repair for Embedded High Density SRAM. In Proc Int Test Conf. Oct 1998; 1112–1119p.

WK Huang, YH Shen, F Lombrardi. New Approaches for the Repairs of Memories with Redundancy by Row/Column Deletion for Yield Enhancement. IEEE Trans Computer Aided Design Integr Circuits Syst. 1990; 9(3): 323–328p.

HC Kim, DS Yi, JY Park, et al. A BISR (built-in-self repair) Circuit for Embedded Memory with Multiple Redundancies. In Proc. Int. Conf. VLSI CAD. Oct 1999; 602–605p.

Tsu-Wei Tseng, Jin-Fu Li, Chih-Chiang Hsu. ReBISR: A Reconfigurable Built-In Self-Repair Scheme for Random Access Memories in SOCs. IEEE Trans VLSI Syst. Jun 2010; 18(6).

S-K Lu, Y-C Tsai, C-H Hsu, et al. Efficient Built-In Redundancy Analysis for Embedded Memories with 2-D Redundancy. IEEE Trans VLSI Syst. 2006; 14(1): 34–42p.

C-T Huang, C-F Wu, J-F Li, et al. Wu. Built-in Redundancy Analysis for Memory Yield Improvement. IEEE Trans Rel. Dec 2003; 52(4): 386–399p

L-T Wang, C-W Wu, X Wen. VLSI Test Principles and Architectures. Morgan Kaufmann. 2006.

Joohwan Lee, Kihyun Park, Sungho Kang. High-Efficiency BIRA for Embedded Memories with a High Repair Rate and Low Area Overhead. Journal of Semiconductor Technology and Science. Sep 2012; 12(3).

CT Huang, C-F Wu, C-W Wu. Built in Redundancy Analysis for Memory Yield Improvement. IEEE Tran. Rel. Dec 2003; 52(4): 386–399p.

W Jeong, I Kang, K Jin, et al. A Fast Built-in Redundancy Analysis for Memories with Optimal Repair Rate Using a Line-Based Search Tree. IEEE Trans. VLSI Syst. 2009; 17(12): 1665–1678p.

S Hamdioui, et al. Importance of Dynamic Faults for New SRAM Technologies. In IEEE Proc. of European Test Workshop. 2003; 29–34p.

R Rajsuman. Design and Test of Large Embedded Memories: An Overview. IEEE Des Test Comput. May 2001; 18(3): 16–27p.

Shekar Babu M, Sumanth Kumar Reddy, SVV Sateesh. Built In Self Repair for Multiple Rams with Different Redundancies in a SOC. International Journal of Computer Applications. Jun 2011; 24(8).

DK. Bhavsar. An Algorithm for Row-Column Self-Repair of RAM’s and its Implementation in the Alpha 21 264. In Proc. Int. Test Conf. Sep 1999; 311–318p.




DOI: https://doi.org/10.37591/jomsd.v2i1.5227

Refbacks

  • There are currently no refbacks.


Copyright (c) 2021 Journal of Microelectronics and Solid State Devices