Open Access Open Access  Restricted Access Subscription or Fee Access

Leveraging cross-coupled domino logic for low-power, two-port SRAM with resilience to Differential Power Analysis (DPA) attacks

Mohil Sandip Desai, Dr. Anu Gupta

Abstract


Side channel attacks have become a serious threat to embedded and cryptographic systems. These attacks can be deployed by capturing the power consumption traces or electromagnetic radiations from the power and communication channels. SRAM is vulnerable to such attacks. Power consumed by SRAM for various read and write operations can express the information about bits being read from or written into the bit-cells which eventually exposes the instructions and data being processed by the processor. This paper reports a topology for two-port SRAM bit-cell that consumes equal power for each read and write operation. Since bit-cells are already differential, we can leverage DPA resiliency by incorporating dynamic nature into them. Proposed 11-T SRAM bit-cell uses cross-coupled domino logic. This topology ensures low-power consumption, less delay overhead along with resilience to DPA attacks as demanded by embedded applications. Performance metrics, DPA resiliency metric and stability metrics have been comprehensively compared for the proposed circuit and the circuits previously proposed in the literature.


Keywords


Two-port SRAM; Side channel attack; Differential Power Analysis; Cross-coupled domino logic

Full Text:

PDF

References


Neve M, Peeters E, Samyde D, Quisquater JJ. Memories: A Survey of Their Secure Uses in Smart Cards. 2nd IEEE International Security in Storage Workshop (SISW) 2003; 2003 October 31; Washington DC, USA: IEEE; 2003. 62-72p. https://doi.org/10.1109/SISW.2003.10004

Kocher P, Lee R, Gary M, Anand R, Srivaths R. Security as a new dimension in embedded system design. Proceedings - Design Automation Conference; 2004 June; New York: New York, N.Y.: Association for Computing Machinery, Piscataway, N.J.: IEEE; 2004. 753-60p. https://doi.org /10.1145/996566.996771.

Kocher P, Jaffe J, Benjamin J, Pankaj R. Introduction to differential power analysis. Journal of Cryptographic Engineering; 2011; 1(1): 5-27p. https://doi.org /10.1007/s13389-011-0006-y.

Tiri K, Akmal M, Verauwhede I. A dynamic and differential CMOS logic with signal independent power consumption to withstand differential power analysis on smart cards. Proceedings of the 28th European Solid-State Circuits Conference; 2002 September 24-26; Florence, Italy: IEEE; 2002. 403-6p.

Saini H, Gupta A. Constant power consumption design of novel differential logic gate for immunity against differential power analysis. IET Circuits, Devices and Systems; 2019; 13(1): 103-9p. https://doi.org /10.1049/iet-cds.2018.5093.

Avital M, Levi I, Keren O, Fish A. CMOS based gates for blurring power information. IEEE Transactions on Circuits and Systems I: Regular Papers; 2016; 63(7): 1033-42p. https://doi.org /1109/TCSI.2016.2546387

Tzartzanis N, Athas W. Energy recovery for the design of high-speed, low-power static RAMs. Proceedings of 1996 International Symposium on Low Power Electronics and Design; 1996 August 12-14; Monterey, CA, USA: IEEE; 1996. 55-60p.

Sshunji N. Adiabatic SRAM with the large margin of vth variation by the gradual change of the voltage. IEICE Electronics Express; 2006; 3(13): 304-9p. https://doi.org/10.1587/elex.3.304

Singh J, Aswar DS, Mohanty SP, Pradhan DK. A 2-port 6T SRAM bitcell design with multi-port capabilities at reduced area overhead. Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED; 2010 March 22-24; San Jose, CA, USA: IEEE; 2010. 131-8p. https://doi.org/10.1109/ISQED.2010.5450397

Wang BT, Kuo JB. A Novel two-port 6T CMOS SRAM cell structure for low-voltage VLSI SRAM with single-bit-line simultaneous read-and-write access (SBLSRWA) capability. Proceedings - IEEE International Symposium on Circuits and Systems; 2000 May 28-31; Geneva, Switzerland: IEEE; 2000. 733-6p. https://doi.org/10.1109/iscas.2000.857606

Noguchi H, Okumura S, Iguchi Y, Fujiwara H, Morita Y, Nii K, et al. Which is the best dual-port SRAM in 45-nm process technology? - 8T, 10T single end, and 10T differential. Proceedings - 2008 IEEE International Conference on Integrated Circuit Design and Technology, ICICDT; 2008 June 2-4; Austin, TX, USA: IEEE; 2008. 55-8p. https://doi.org/10.1109/ICICDT.2008.4567245

Singh AJ, Prabhu CMR, Pin SW, Hou TC. A proposed symmetric and balanced 11-T SRAM cell for lower power consumption. IEEE Region 10 Annual International Conference, Proceedings/TENCON; 2009 January 23-26; Singapore: IEEE; 2009. 1-4p. https://doi.org/10.1109/TENCON.2009.5396237

Konur E, Ozelci Y, Arikan E, Eksi U. Power Analysis Resistant SRAM. Automation Congress, 2006 WAC ’06 World; 2006 July 24-26; Budapest, Hungary: IEEE; 2006. 1-6p.

Rožic V, Dehaene W, Verbauwhede I. Design solutions for securing SRAM cell against power analysis. Proceedings of the 2012 IEEE International Symposium on Hardware-Oriented Security and Trust; 2012 June 3-4; San Francisco, CA, USA: IEEE; 2012. 122-7p. https://doi.org/10.1109/HST.2012.6224331

Giterman R, Keren O, Fish A. A 7T security oriented SRAM bitcell. IEEE Transactions on Circuits and Systems II: Express Briefs; 2019; 66(8): 1396-1400p. https://doi.org/10.1109/TCSII.2018.2886175

Giterman R, Vicentowski M, Levi I, Weizman Y, Keren O, Fish A. Leakage power attack-resilient symmetrical 8T SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems; 2018; 26(10): 2180-4p. https://doi.org/10.1109/TVLSI.2018.2840132

Singh J, Mohanty SP, Pradhan DK. Robust SRAM Designs and Analysis. New York Heidelberg Dordrecht London: Springer; 2013. https://doi.org/10.1007/978-1-4614-0818-5


Refbacks

  • There are currently no refbacks.


Copyright (c) 2021 Journal of VLSI Design Tools & Technology



eISSN: 2249–474X